首页 | 最新需求 | 最新现货 | IC库存 | 供应商 | IC英文资料库 | IC中文资料库 | IC价格 | 电路图 | 应用资料 | 技术资料
 IC型号:
您现在的位置:首页 >  IC英文资料库 进入手机版 
 
资料编号:900091
 
资料名称:XC4028XLA
 
文件大小: 142K
   
说明
 
介绍:
XC4000XLA/XV Field Programmable Gate Arrays
 
 


: 点此下载
  浏览型号XC4028XLA的Datasheet PDF文件第1页
1
浏览型号XC4028XLA的Datasheet PDF文件第2页
2
浏览型号XC4028XLA的Datasheet PDF文件第3页
3

4
浏览型号XC4028XLA的Datasheet PDF文件第5页
5
浏览型号XC4028XLA的Datasheet PDF文件第6页
6
浏览型号XC4028XLA的Datasheet PDF文件第7页
7
浏览型号XC4028XLA的Datasheet PDF文件第8页
8
浏览型号XC4028XLA的Datasheet PDF文件第9页
9
 
本平台电子爱好着纯手工中文简译:截至2020/5/17日,支持英文词汇500个
R
xc4000xla/xv 地方 可编程序的 门 arrays
6-160 ds015 (v1.3) october 18, 1999 - 产品 规格
使用 快 i/o clks
那里 一些 issues 有关联的 implementing
i/o clocks 使用 多样的 FastCLK BUFGE 时钟
缓存区 i/o transfers 一个 BUFGLS 时钟 缓存区
内部的 逻辑.
减少 时钟 输出 时期
- transferring 数据
一个 BUFGLS clocked 寄存器 一个 IOB 输出 寄存器
这个 clocked 一个 i/o 时钟, 总的 数量
时间 有 为 这 转移 是 减少.
使用 俘获 获得 IOB 输入 -
需要
转移 数据 captured i/o 时钟 边缘 一个
delayed BUFGLS 时钟 没有 错误. 使用
俘获 获得 在 这 iobs 提供 这个 符合实际.
驱动 多样的 时钟 输入
- 自从 各自 FastCLK 输入
仅有的 reach 一个 octant IOBs 通常地 neces-
sary 驱动 多样的 FastCLK BUFGE 输入 焊盘
一个 copy 系统 时钟. Xilinx 推荐 sys-
tems 这个 使用 多样的 FastCLK BUFGE 输入 缓存区
使用 一个 “Zero Delay” 时钟 缓存区 此类 Cypress
CY2308 驱动 向上 8 输入 管脚. 这些 设备 包含 一个
阶段 循环 eliminate 时钟 延迟, 具体说明 较少
比 250ps 输出 jitter.
PCB 布局
- 推荐 布局 放置 PLL
underneath FPGA 反转 一侧 pcb. 所有 8
时钟 线条 应当 equal 长度. 这个 arrangement
准许 所有 时钟 线条 较少 2 cm 长度 这个
将 一般地 eliminate 这 需要 为 时钟 末端.
Advancing FPGAs 时钟 -
一个 额外的 有利因素
使用 一个 pll-配备 时钟 缓存区 进步
FPGA clocks 相关的 系统 时钟 包含
额外的 延迟 反馈 path. 大概
6 英寸 查出 长度 需要 延迟 信号
用 1 ns.
Advancing FPGA’s 时钟 直接地 减少 输入 支撑
(所需的)东西 改进 时钟 输出 延迟. FPGA clocks
应当 先进的 更多 有保证的 迷你-
mum 输出 支撑 时间 (minus 任何 有关联的 时钟 jitter)
或者 输出 改变 状态 在之前 系统 时钟
边缘. XLA XV FPGAs 输出 支撑 时间
specified 一个 最小 时钟 输出 延迟 tables
各自的 家族 电的 规格 sections.
最大 推荐 时钟 进步 相等 这个
值 minus 任何 时钟 jitter.
Instantiating i/o elements
- 取决于 设计
环境, 需要 instantiate i/o
elements. 它们 是 建立 在 这 libraries 作:
bufge (i,o)
- 这 global early 缓存区
bufgls (i,o)
- 这 global 低 skew 缓存区
buffclk (i,o)
- 这 fastclk 缓存区
ilffx (d, gf, ce, c, q)
- 这 快 俘获 获得
Macro
Locating i/o elements
- 需要 连接 这些
elements 一个 particular i/o 垫子 顺序 选择 这个
缓存区 或者 快 俘获 获得 将 是 使用.
Restricted 时钟 加载
- 因为 输入 支撑
必要条件 一个 函数 内部的 时钟 延迟,
需要 restrict routing BUFGE IOBs along
bottom 消逝 获得 sub-ns 时钟 延迟.
BUFGE 1
BUFGE 2
fclk 3
fclk 4
BUFGE 5
FCLK 2
fclk 1
BUFGE 6
bufgls 2
图示 2: Location fastclk, BUFGE BUFGLS
时钟 缓存区 在 xc4000xla/xv fpgas
BUFGE
1
BUFGE
2
BUFGE
5
BUFGE
6
FCLK1
FCLK2
FCLK3
FCLK4
PLL
时钟
缓存区
O0
O1
O2
O3
O4
O5
O6
O7
FB
Ref
XC4000XLA
XC4000XV
SysClk
图示 3: 图解 的 xc4000xla/xv fpga
连接 至 pll 时钟 缓存区 驱动 4 bufge 和
4 fastclk 时钟 缓存区.
资料评论区:
点击回复标题作者最后回复时间

标 题:
内 容:
用户名:
手机号:    (*未登录用户需填写手机号,手机号不公开,可用于网站积分.)
      
关于我们 | 联系我们
电    话13410210660             QQ : 84325569   点击这里与集成电路资料查询网联系
联系方式: E-mail:CaiZH01@163.com