首页 | 最新需求 | 最新现货 | IC库存 | 供应商 | IC英文资料库 | IC中文资料库 | IC价格 | 电路图 | 应用资料 | 技术资料
 IC型号:
您现在的位置:首页 >  IC英文资料库 进入手机版 
 
资料编号:873945
 
资料名称:MPXY8000
 
文件大小: 142K
   
说明
 
介绍:
Tire Pressure Monitoring Sensor Temperature Compensated
 
 


: 点此下载
  浏览型号MPXY8000的Datasheet PDF文件第1页
1

2
浏览型号MPXY8000的Datasheet PDF文件第3页
3
浏览型号MPXY8000的Datasheet PDF文件第4页
4
浏览型号MPXY8000的Datasheet PDF文件第5页
5
浏览型号MPXY8000的Datasheet PDF文件第6页
6
浏览型号MPXY8000的Datasheet PDF文件第7页
7
浏览型号MPXY8000的Datasheet PDF文件第8页
8
浏览型号MPXY8000的Datasheet PDF文件第9页
9
 
本平台电子爱好着纯手工中文简译:截至2020/5/17日,支持英文词汇500个
传感器 设备
2 freescale 半导体
MPXY8000
图示 1. mpxy8000 序列 传感器 块 图解
运行 模式
这 设备 有 一些 运行 模式 依赖 在
这 应用 电压 至 这 s1 和 s0 管脚 作 显示 在
表格 1. 在 所有 这 模式 列表 这 频道 multiplexers, d/一个
寄存器, lfo, 和 这 输出 脉冲波 dividers 将 总是 是
powered 向上 作 长 作 那里 是 一个电压 源 连接 至
这 v
DD
管脚.
当 仅有的 这 s0 管脚 是 在 一个 逻辑 一个 这 压力
测量 电路 在 这 设备 是 powered 向上 和 这
压力 输出 信号 是 连接 至 这 样本 电容
通过 一个 多路调制器. 当 这 s0 管脚 returns 至 这 低
状态 这 多路调制器 将 第一 转变止 至 store 这 信号 在 这
样本 电容 在之前 powering 向下 这 测量
电路系统.
当 仅有的 这 s1 管脚 是 在 一个 逻辑 一个 这 温度
测量 电路 在 这 设备 是 powered 向上 和 这
温度 输出 信号 是连接 至 这 样本
电容 通过 一个 多路调制器. 当 这 s1 管脚 returns 至
这 低 状态 这 多路调制器 将 第一 转变 止 至 store 这 信号
在 这 样本 电容 在之前 powering 向下 这
测量 电路系统.
便条: 所有 的 这 可擦可编程只读存储器 修整 位 将 是 powered 向上
regardless 的 whether 这压力 或者 温度
测量 电路系统 是 使活动.
便条: 如果 这 电压 在 这 s1 管脚 超过 2.5 时间 这
电压 在 这 v
DD
管脚 这 设备 将 是 放置 在 它的 修整/
测试 模式.
便条: 如果 这 v
DD
供应 源 是 切换 止 在 顺序 至
减少 电流 消耗量, 它 是重要的 那 所有 输入 管脚
是 驱动 低 至 避免 powering 向上 这 设备.
如果 任何 输入 管脚 (s1, s0, 数据, 或者 clk) 是 驱动 高
当 这 v
DD
供应 是 切换 止, 这 设备 将 是
powered 向上 通过 一个 静电释放 保护 二极管. 在 此类 一个 情况,
这 有效的 v
DD
电压 将 是 关于 0.3 v 较少 比 这
电压 应用 至 这 输入 管脚, 和 这 全部 设备 i
DD
电流
将 是 描绘 从 这 设备 驱动 输入.
8-位
电流
+
-
f
LF
AV
SS
AV
SS
AV
SS
数字的
控制
电源
控制
时钟
Gen
P
X
P
REF
p-cell
c 至 v
转变
放大
f
HF
内部的 hf
osc.
p-止
修整
p-增益
修整
p-tco
修整
p-tcs
修整
t-止
修整
2-chan
MUX
t
PTC
res.
2-chan
MUX
V
DD
S1
S0
CLK
数据
RST
输出
V
SS
竞赛
3-chan
MUX
内部的 lf
osc.
14-平台
分隔物
样本 cap
10-平台
分隔物
8-位
d/一个
寄存器
资料评论区:
点击回复标题作者最后回复时间

标 题:
内 容:
用户名:
手机号:    (*未登录用户需填写手机号,手机号不公开,可用于网站积分.)
      
关于我们 | 联系我们
电    话13410210660             QQ : 84325569   点击这里与集成电路资料查询网联系
联系方式: E-mail:CaiZH01@163.com